るりまサーチ

最速Rubyリファレンスマニュアル検索!
44件ヒット [1-44件を表示] (0.182秒)
トップページ > クエリ:I[x] > クエリ:a[x] > クエリ:PI[x] > クエリ:tag[x]

別のキーワード

  1. _builtin to_a
  2. matrix to_a
  3. to_a
  4. dbm to_a
  5. argf.class to_a

ライブラリ

キーワード

検索結果

Psych::Nodes::Mapping#tag -> String|nil (30323.0)

mapping に付加された tag を返します。

...mapping に付加された tag を返します。

@see Psych::Nodes::Mapping#tag=,
Psych::Nodes::Mapping.new...

Psych::Handler#start_mapping(anchor, tag, implicit, style) -> () (18437.0)

mapping の開始を見付けたときに呼び出されます。

...mapping の開始を見付けたときに呼び出されます。

a
nchor には map に関連付けられた anchor の名前が文字列で渡されます。
a
nchor がない場合には nil が渡されます。

tag
には map に関連付けられた tag の名前が文字列で渡されます...
...
tag
がない場合には nil が渡されます。

i
mplicit には map が implicit に開始されたかどうかが
真偽値で渡されます。

style には sequence の style が整数値で渡されます。以下のいずれか
です。
* Psych::Nodes::Mapping::BLOCK
* Psych::Nod...
...es::Mapping::FLOW

必要に応じてこのメソッドを override してください。

@param anchor 関連付けられた anchor の名前
@param tag タグ名
@param implicit mapping が implicit に開始されかどうか
@param style mapping のスタイル

=== 例

以下の YAML ドキ...

Psych::Nodes::Mapping#tag=(t) (18223.0)

mapping に付加する tag を設定します。

...mapping に付加する tag を設定します。

@param t 設定する tag
@see Psych::Nodes::Mapping#anchor=,
Psych::Nodes::Mapping.new...

Psych::Nodes::Mapping.new(anchor=nil, tag=nil, implicit=true, style=BLOCK) -> Psych::Nodes::Mapping (9531.0)

新たな mapping オブジェクトを生成します。

...たな mapping オブジェクトを生成します。

a
nchor には mapping に付加されている anchor を文字列で指定します。
a
nchor を付けない場合には nil を指定します。

tag
には mapping に付加されている tag を文字列で指定します。
tag
を付...
...には nil を指定します。

i
mplicit には mapping が implicit に開始されたかどうかを
真偽値で指定します。

style には YAML ドキュメント上の style を整数で指定します。以下のいずれ
かを指定できます。
* Psych::Nodes::Mapping::ANY
* Ps...
...ych::Nodes::Mapping::BLOCK
* Psych::Nodes::Mapping::FLOW

@param anchor mapping に付加された anchor
@param tag mapping に付加された tag
@param implicit mapping が implicit に開始されたかどうか
@param style YAML ドキュメント上の style...